4 点赞
10 点赞

rt-thread 驱动篇 之 NUC97x 移植 LVGL

说是移植 LVGL ,其实是想挖掘一下 NUC970 的 GE2D 是不是有硬件bug
7 点赞

【Docker】如何用Docker 来运行RTTHREAD

本文介绍如何用docker来快速验证开发。提供一种可以快速验证RTTHREAD代码的方法。
1 点赞

【N32G457 】基于N32G457的智慧门闸

本项目使用国民技术N32G457VEL7核心开发板,依托RT-Thread平台,实现刷卡认证,控制门闸开启、闭合。
3 点赞
1 点赞

【N32G457】基于RT-Thread和N32G457的家庭语音助手

本方案通过N32G457+RT-Thread,实现一个家庭语音助手,提供语音唤醒、多路开关、倒计时、闹钟提醒、天气提醒、新闻播报、音乐播放等功能,为家庭生活增添便利和趣味性。
8 点赞

【N32G457】从0到1打造一个私有化部署的家用式智能告警系统

本文基于N32G457和RT-Thread实时操作系统,从0到1全新打造了一个私有化定制部署的家用式智能告警系统,该系统能有效解决独居老人的某些居家安全生活难题,并通过打通与微信的即时消息推送功能,使得该系统在易用性和可商业落地上,提升了一个新的高度。文章的最后对项目的进行了深度复盘,并对未来有了更深刻的规划和展望,希望与大家一同成长。
7 点赞
6 点赞

基于W601实现网页版IAP 1.00

基于W601开发板实现通过网页进行固件升级的例程及其使用方法分享
10 点赞
2 点赞

【N32G457 】基于RT-Thread和N32G457的USB2CAN调试器 1.00

一个简洁方便的的usb2can调试器,可用于can总线数据调试和一般的can总线故障排查。在基于RT-Thread和N32G457的软硬件平台的基础上,使用SLCAN协议配合USB CDC类通信可以实现将can数据转发到PC或者上位机上,供上位机软件进行分析。支持python-can 和 slcand socketcan
2 点赞
5 点赞

[N32G457] 基于RT-Thread和N32G457的简易便捷式可调电压源

本设计是用来参加《创新“芯”引擎 | 国民技术N32G457 RT-Thread设计大赛》的作品。初衷是由于做军品要求国产化,所以最近也一直再测试国内不同厂家的32芯片的性能以及开发便捷性和最重要的稳定性等问题。之前也一直在用RTT做开发。浏览官网的时候发现有这个比赛,就正好参加一下,顺便测试一下“国民技术”的芯片。至于做什么,肯定不能做我工作上的东西,都是军品保密的。只能想一些简单的。正好想到平常测试,特别是外出测试,经常因为电源问题而苦恼。于是想做一款简易的可调电压源。
2 点赞
7 点赞

【网络编程开发】一种网络编程中的另类内存泄漏

本文就一个真实的场景案例问题,它涉及到内存泄漏,但是它跟常规理解的内存泄漏又有那么一点点不同,本文将带你了解该问题的始与末。面对这样的内存泄漏问题,本文也提供了一些常规的分析方法和解决思路,仅供大家参考,欢迎大家指正问题。
9 点赞

【网络通讯与网络安全】网络通讯中的随机数如果不随机会怎么样! 10.00

本文从一个真实的场景案例出发,逐步向你解惑网络通讯中随机数的重要性;同时,也给大家提供了一个分析和解决这类问题的方法论,希望对大家有所启发和帮助。
1 点赞

【N32G457 】基于RT-Thread和N32G457的智慧家庭

基于国民开发板(N32G457)RT-thread系统的智慧家庭
20 点赞

10 AT 组件源代码解析 10.00

AT组件的核心处理逻辑是将收到的 AT 模组的应答信息放到 recv_line_buf 缓冲区中,然后每次读一行数据("\r\n")进行处理,然后判断属于哪一类的消息,调用不同的函数。 本文以 EC200x 模组为例,详细分析了 AT 组件和 SAL 组件的实现过程和代码的调用逻辑,帮助在使用 AT 组件过程中遇到问题的开发者快速定位问题出现的位置。
18 点赞

CherryUSB 软件包给大家分享一下 10.00

CherryUSB 协议栈,支持USB device 和USB HOST
6 点赞

【ART-Pi Smart】基于 SDL2 进行游戏开发

在上一篇分享中,我们在 ART-Pi Smart 平台上实现了视频解码播放的功能;SDL2 的功能不仅仅局限于图片、视频的播放;得益于 SDL2 丰富的拓展库,开发者可以快速、方便地实现非常多好玩的应用,例如:游戏开发。所以,在本篇文章中,基于 SDL2 以及其拓展库,我们将在 ART-Pi Smart 平台上运行一个好玩的地下城游戏.
11 点赞

【汇编实战开发笔记】从汇编代码中找出一段普通的for循环变成“死循环”的根本原因 5.00

本文结合实际的实战项目,从方法论的角度分析并给出,如何找出一段普通得不能再普通的for循环变成“死循环”的根本原因,希望对大家有所帮助,更重要的是,大家尽量以后不要写这样的代码吧。
4 点赞
13 点赞
10 点赞

RT-Smart ELF 应用程序加载运行过程分析

在用户态应用程序处理的任务中,elf 加载运行是一个比较重要的步骤,下面就分析一下在 rt-smart 操作系统中,想要将一个应用程序运行起来要经过哪些步骤。
21 点赞

rt-thread 驱动篇 之 串口驱动框架剖析及性能提升 1.00

不破不立你需要的是一个新的串口驱动框架设计。
11 点赞

【ART-Pi Smart】基于 FFmpeg + SDL2 实现视频播放 1.00

FFmpeg 在 Linux平台下开发,但它同样也可以在其它操作系统环境中编译运行,包括 Windows、Mac OS X 等。这个项目最早由 Fabrice Bellard 发起,2004 年至 2015 年间由 Michael Niedermayer 主要负责维护。下面介绍如何将 FFmpeg 移植到 ART-Pi Smart 平台上,实现视频的解码功能。
11 点赞

【ART-Pi Smart】使用 VS Code 开发 GUI 应用 5.00

SDL(Simple DirectMedia Layer)是一个跨平台开发库库,使用 C 语言写成。旨在通过 OpenGL 和 Direct3D 提供对音频、键鼠、鼠标、操作杆和图形硬件的底层访问。视频回放软件、模拟器和流行游戏(包括 Value 的获奖目录和许多 Humble Bundle 游戏)都使用了它。
8 点赞

一文读懂CANFD的接收模式

一文带你读懂 CANFD 的各种接收模式该如何使用。了解 RXFIFO0 RXFIFO1 RXBUFF 的区别。了解CANFD 的接收水位的作用。
10 点赞

基于AB32和RT-Thread的墨水屏日历

本项目硬件部分包含4.2寸墨水屏、墨水屏驱动板、ESP8266模组和W25Q128闪存模组以及开发板自带的按键,硬件功能上使用了AB32芯片的SPI、UART、GPIO。软件部分包含业务代码、RT-Thread内核、RT-Thread组件包以及一些移植的三方组件,软件功能方面不仅使用了RT-Thread内核基础API(线程、信号量、互斥锁等),还使用了RT-Thtread组件库的at_device、netutils、webclient、cJSON、gbk2utf8、FlashDB、fal 组件。本项目实现
7 点赞

回到
顶部

发布
问题

投诉
建议